【转】vivado18.3的安装 安装教程

原装性能 Xilinx下载器 赛灵思下载线 Platform Cable USB仿真器

Xilinx下载器 CPLD FPGA digilent usb JTAG SMT2 高速 赛灵思线

转自:Vivado18.3的安装 安装教程_Daniel_Banana的博客-CSDN博客

本文内容学习自【ALINX】FPGA ZYNQ视频教程——AX7010/AX7020教程——基础部分

1.Vivado18.3的下载

Vivado18.3是18年的最后一个版本,正常来讲每年的最后一个版本即为相对稳定的版本。

百度网盘:https://pan.baidu.com/s/1PiQO1BeLSZNFn_BrqanyFw  提取码:TWp5 

2.Vivado18.3的安装

Step1:

请先解压Xilinx_Vivado_SDK_2018.3_1207_2324.tar.zip

磁盘空间够可以直接解压到当前文件夹,安装完成之后再删掉即可。

【转】vivado18.3的安装 安装教程

Step2:

打开解压后的文件夹,找到安装文件。tip:打开文件夹后最下方的文件就是它。

xsetup.exe为Windows系统的安装文件。xsetup为Linux系统的安装文件。

【转】vivado18.3的安装 安装教程

默认大家都是Win10系统所以双击打开xsetup.exe。

Step3:

打开后我们进入到Vivado18.3安装界面

【转】vivado18.3的安装 安装教程

这里的提示窗口意思是提醒你现在最新的版本是19.2。我们要安装18.3所以直接点击Continue 提示框就没了。

然后再点击右下角的Next进入下一步。

Step4:

这里全部点上对勾

【转】vivado18.3的安装 安装教程

然后点击Next

Step5:

这里进入到安装版本选择。

【转】vivado18.3的安装 安装教程

一共有4个版本可供选择。Documentation Navigator (Standalone)

Vivado HL WebPCK:这个是功能最少的版本,也是不需要付费或者破解Lincense的版本。

Vivado HL Design Edition:这个已经是功能非常齐全的版本。没有特殊需要,这个版本足够用。

Vivado HL System Edition:这个比Design Edition多了一些其他的工具。(比如多了system generator for dsp 工具)。

Documentation Navigator (Standalone):这个是单独安装文档的选项,我们安装上面DE或者SE时都会有文档安装,所以不需要它。

因为可能会用的一些其他工具,我这里选择安装System Edition。没有特殊需求安装Design Edition足够。

选择之后点击Next。

Step6:

这个界面是安装组件选择界面。【转】vivado18.3的安装 安装教程

1.Design Tool 设计工具

1.1 Vivado Design Suite 设计套件:这个是用来开发FPGA的。

1.2 Software Development Kit (SDK):这个是用来软件开发的,也就是对ARM核开发的。因为我们用的是Zynq-7100,Zynq-7000系列采用的都是是ARM Cotex-A9处理器所以,这里只选择A9即可。

2.Devices 设备

2.1 Production Devices:这里只选择Zynq-7000即可

2.2 Engineering Sample Devices:这是Xilinx官方提供的工程模板,没啥用不安装。

3.Installation Options 安装选项

3.1 Install Cable Driver:这是下载程序用的驱动,必须安装。

3.2 Acquire or Manage a License Key 获得或者配置一个Lincense:安装完成之后会蹦出来让你配置Lincense的界面,所以选上。

3.3 Enable WebTalk for....:这两个都是向Xilinx官方发送用户反馈,没啥用取消掉。

剩下没有提到的2个就是DE和SE的区别了,DE中都是没有的。

ok,该取消的取消掉之后点击Next进入下一步。

Step7:

这个是选择安装路径和快捷方式等的界面。

【转】vivado18.3的安装 安装教程

这里要注意安装路径中不能有中文。右边这些就是一些快捷方式的选择,我们都选上。

点击Next,进入下一步。

Step8:

这个是对之前安装的所有选择的汇总,提示你确认一遍。

【转】vivado18.3的安装 安装教程

确认完成后点击Install。

Step9:

这是软件安装界面,如果有杀毒软件或者系统提示,点击允许即可。然后就漫长的等待。

【转】vivado18.3的安装 安装教程

Step10:

二三十分钟之后安装完成。

【转】vivado18.3的安装 安装教程

点击确定即可。


一点小插曲

因为我安装的是SE,所以System Generator需要配置Maltab。Vivado18.3 SE 仅支持Mtlab2017a 2017b 2018a,不支持我的2019a。按照网上的一个方法也没能配置成功2019a    方法连接:vivado安装System Generator不支持新版Matlab怎么办?

Matlab的配置方法就是,点开开始菜单里Xilinx Design Tools里的System Generator 2018.3 MATLAB Configurator。

【转】vivado18.3的安装 安装教程

点击Find MATLAB 然后选择你的MATLAB安装目录即可。

【转】vivado18.3的安装 安装教程


2.Vivado18.3的注册

因为我们刚才选择了Acquire or Manage a License Key所以会自动弹出这个Lincens配置窗口。

【转】vivado18.3的安装 安装教程

如果不小心关掉了这个界面,打开Vivado18.3 右上角Help目录下的Manage License即可。

【转】vivado18.3的安装 安装教程

Step1:

解压刚才下载的Lincense压缩包。解压出来的License文件。推荐把它放到刚才Vivado的安装目录里,方便以后用。

【转】vivado18.3的安装 安装教程

【转】vivado18.3的安装 安装教程

Step2:

点击Load Lincese里的Copy License,将我们刚才解压出来的License导入。

【转】vivado18.3的安装 安装教程

提示License导入成功,点击确定。

【转】vivado18.3的安装 安装教程

Step3:

点击View License Status,即可查看License的许可状态。

【转】vivado18.3的安装 安装教程

OK,到这里Vivado的安装和注册都已经完成。


温馨提示:

安装完成后,我们的电脑开机之后右下角会有这个Xilinx Information Center。这个是检查软件更新的,会开机自动启动很恶心。然后现在把这个“狗皮膏药”清理掉。

【转】vivado18.3的安装 安装教程

首先打开它,点击左上角View,再点Preference,弹出这个窗口。

【转】vivado18.3的安装 安装教程

在Check for updatas一栏选择Manual也就是手动检查更新。

然后再将下面的选项全部取消,取消各自提示和开机自动启动。

最后点OK,然后这个Xilinx Information Center就不会有任何提示,也不会开机提醒啦。

完美!!!

上一篇:smart license简单使用感想


下一篇:在微信公众号开发(微站)过程中用Zepto/jquery的on/live绑定的click事件点击无效(不能执行)